Early outcome of surgical treatment of 20 cases of postinfartion ventricular septal rupture by extended sandwich patch technique via right ventricle approach

Nguyen Thai Minh, Nguyen Sinh Hien, Le Quang Thien, Nguyen Hoang Ha, Nguyen Dang Hung, Ha Duc Linh

Main Article Content

Abstract

Objective: Different techniques have been described to repair post myocardial infarction ventricular septal rupture (VSR), each method may result in residual shunting, bleeding, and post-operative mortality. The aim of this report is to describe early results of extended sandwich technique through right ventricle in 20 consecutive patients.


Patients and Methods: A retrospective, cross-sectional and descriptive study on 20 patients underwent surgery for myocardial infarction VSR by extended sandwich technique through right ventricle from 12/2018 to 3/2021 in Hanoi Heart Hospital.


Result: 20 consecutive patients (10 women and 10 men) with postinfarction ventricular septal rupture (mean age 72 years, range 48-84) underwent surgical repair for by extended sandwich technique performed through right ventricle from December 2018 to August 2021 in our institute. Reconstruction of the septum was performed by two patches according to the method described by Asai et al. The mean aortic clamp time was 101. The mean extracorporeal circulation time was 143 min. Postoperative intensive care unit (ICU) stay averaged 4 days (range, 1-10 days). There was 30% hospital mortality. 10% postoperative residual shunting was detected, and no patient needed reoperation for bleeding.


Conclusion: This method of extended sandwich technique through right ventricle was safe, simple, and it could be performed in acute phase of myocardial infarction.

Article Details

References

1. Jones Brandon M., Kapadia Samir R., Smedira Nicholas G, et al (2014). Ventricular septal rupture complicating acute myocardial infarction: a contemporary review. European Heart Journal, 35 (31), 2060-2068.

2. Asai T., Hosoba S., Suzuki T., et al (2012). Postinfarction ventricular septal defect: right ventricular approach-the extended "sandwich" patch. Semin Thorac Cardiovasc Surg, 24 (1), 59-62.

3. Crenshaw B. S., Granger C. B., Birnbaum Y., et al (2000). Risk factors, angiographic patterns, and outcomes in patients with ventricular septal defect complicating acute myocardial infarction. GUSTO-I (Global Utilization of Streptokinase and TPA for Occluded Coronary Arteries) Trial Investigators. Circulation, 101 (1), 27-32.

4. Papalexopoulou Niovi Young, Christopher P. và Attia Rizwan Q. (2013). What is the best timing of surgery in patients with post-infarct ventricular septal rupture? Interactive cardiovascular and thoracic surgery, 16 (2), 193-196.

5. Isoda S., Izubuchi R., Yamazaki I. , et al (2019). “Sandwich Technique” via a Right Ventricular Incision for Ultra-acute Repair of Post-infarction Ventricular Septal Defects
A Study of Location of Major Residual Leak. Journal of Coronary Artery Disease, 25 (2), 39-47.

6. Hosoba S., Asai T., Suzuki T., et al (2013). Mid-term results for the use of the extended sandwich patch technique through right ventriculotomy for postinfarction ventricular septal defects. Eur J Cardiothorac Surg, 43 (5), e116-120.

7. Nguyễn Thái Minh, Nguyễn Hoàng Hà, Hoàng Văn và cộng sự (2020). Phương pháp "bánh kẹp rộng" trong phẫu thuật điều trị thủng vách liên thất sau nhồi máu cơ tim cấp. Tạp chí Tim mạch học Việt Nam, số 91+92, Tháng 8.

Similar Articles

You may also start an advanced similarity search for this article.